Ripple Cadd Adder: Funcionament, tipus i aplicacions

Proveu El Nostre Instrument Per Eliminar Problemes





En electrònica digital es pot afegir números binaris de dos bits utilitzant mig sumador . I si la seqüència d'entrada té una seqüència de tres bits, el procés d'addició es pot completar mitjançant un sumador complet. Però si el nombre de bits és més gran a la seqüència d’entrada, el procés es pot completar amb mitja sumadora. Com que el sumador complet no pot completar l'operació d'addició. Així, aquests inconvenients es poden superar mitjançant l’ús de “Ripple Carry Adder”. És un tipus únic de circuit lògic s’utilitza per afegir els nombres de bits N en operacions digitals. En aquest article es descriu una visió general del que és el transportador de ondulacions i el seu funcionament.

Què és Ripple Carry Adder?

Una estructura de múltiples sumadors complets és en cascada de manera que dóna els resultats de l'addició d'una seqüència binària de n bits. Aquest sumador inclou sumadors complets en cascada a la seva estructura, de manera que el transport es generarà a cada etapa de sumador complet en un circuit de sumador de transport ondulat. Aquests resultats de transport en cada etapa de sumador complet es reenvien al següent sumador complet i s’hi apliquen com a entrada de transport. Aquest procés continua fins a la seva última etapa de sumador complet. Per tant, cada bit de sortida de transport s’incrementa a la següent etapa d’un sumador complet. Per aquest motiu, es denomina 'RIPPLE CARRY ADDER'. La característica més important és afegir les seqüències de bits d’entrada tant si la seqüència és de 4 bits com de 5 bits o qualsevol.




“Un dels punts més importants que cal tenir en compte en aquest sumador de càrrega és que la sortida final només es coneix després que les sortides de càrrega siguin generades per cada etapa de sumador complet i reenviades a la seva següent etapa. Així, hi haurà un retard per obtenir el resultat amb l’ús d’aquest sumador de càrrega ”.

N’hi ha de diversos tipus en els additius de transport de ondulacions. Ells són:



  • Sumador de ondulació de 4 bits
  • Sumador de ondulació de 8 bits
  • Sumador de transport de ondulació de 16 bits

En primer lloc, començarem amb els sumadors de transport de ondulacions de 4 bits i, a continuació, els additius de transport de ondulacions de 8 i 16 bits.

Sumador de transport Ripple de 4 bits

El diagrama següent representa el sumador de transport de ondulacions de 4 bits. En aquest sumador, quatre sumadors complets estan connectats en cascada. Co és el bit d’entrada de transport i sempre és zero. Quan aquest port d’entrada ‘Co’ s’aplica a les dues seqüències d’entrada A1 A2 A3 A4 i B1 B2 B3 B4, llavors la sortida es representa amb S1 S2 S3 S4 i la sortida porta C4.


Diagrama RCA de 4 bits

Funcionament de Ripple Carry Adder de 4 bits

  • Prenguem un exemple de dues seqüències d’entrada 0101 i 1010. Aquestes representen l’A4 A3 A2 A1 i B4 B3 B2 B1.
  • Segons aquest concepte de sumador, el valor d’entrada és 0.
  • Quan Ao & Bo s'apliquen al primer sumador complet junt amb el valor d'entrada 0.
  • Aquí A1 = 1 B1 = 0 Cin = 0
  • Sum (S1) i carry (C1) es generaran segons les equacions Sum and Carry d’aquest sumador. Segons la seva teoria, l'equació de sortida per a la suma = A1⊕B1⊕Cin i Carry = A1B1⊕B1Cin⊕CinA1
  • Segons aquesta equació, per al primer sumador complet S1 = 1 i la producció de Carry, és a dir, C1 = 0.
  • Igual que per als propers bits d’entrada A2 i B2, la sortida S2 = 1 i C2 = 0. Aquí el punt important és que el sumador complet de la segona etapa obté un transport d’entrada, és a dir, C1, que és el transport de sortida del sumador complet de la fase inicial.
  • Així obtindrem la seqüència de sortida final (S4 S3 S2 S1) = (1 1 1 1) i la sortida C4 = 0
  • Aquest és el procés d’addició de seqüències d’entrada de 4 bits quan s’aplica a aquest sumador de transport.

Sumador de transport Ripple de 8 bits

  • Consta de vuit sumadors complets que es connecten en forma de cascada.
  • Cada sortida de transport de sumador complet es connecta com a transport d’entrada a la següent etapa de sumador complet.
  • Les seqüències d’entrada es denoten per (A1 A2 A3 A4 A5 A6 A7 A8) i (B1 B2 B3 B4 B5 B6 B7 B8) i la seva seqüència de sortida rellevant es denota per (S1 S2 S3 S4 S5 S6 S7 S8).
  • El procés d’addició en un sumador de ondulació de 8 bits és el mateix principi que s’utilitza en un sumador de ondulació de 4 bits, és a dir, que cada bit de dues seqüències d’entrada s’afegirà juntament amb el transport d’entrada.
  • Això s'utilitzarà quan s'afegeixin dues seqüències de dígits binaris de 8 bits.
8bit-ripple-carry-adder

8bit-ripple-carry-adder

Sumador Ripple Carry de 16 bits

  • Consta de 16 sumadors complets que es connecten en forma de cascada.
  • Cada sortida de transport de sumador complet es connecta com a transport d’entrada a la següent etapa de sumador complet.
  • Les seqüències d'entrada es denoten amb (A1 ... .. A16) i (B1 ....... B16) i la seqüència de sortida rellevant es denota amb (S1 ....... .. S16).
  • El procés d’addició en un sumador de ondulació de 16 bits és el mateix principi que s’utilitza en un sumador de ondulació de 4 bits, és a dir, que cada bit de dues seqüències d’entrada s’afegirà juntament amb el transport d’entrada.
  • Això s'utilitzarà quan s'afegeixin una seqüència de dos dígits binaris de 16 bits.
16-bit-ripple-carry-adder

16-bit-ripple-carry-adder

Taula de veritat Ripple Carry Adder

A sota de la taula de veritat es mostren els valors de sortida per a les possibles combinacions de totes les entrades per a un additiu per a ondulació.

A1 A2 A3 A4 B4 B3 B2 B1 S4 S3 S2 S1

Porteu

0

000000000000
010001001000

0

1

000100000001
101010100100

1

110011001000

1

111011101100

1

111111111110

1

Ripple Carry Adder Codi VHDL

VHDL (VHSIC HDL) és un llenguatge de descripció de maquinari. És un llenguatge de disseny digital. A continuació es mostra el codi VHDL d’aquest sumador de transport.

biblioteca IEEE
utilitzeu IEEE.STD_LOGIC_1164.ALL

entitat Ripplecarryadder és
Port (A: a STD_LOGIC_VECTOR (de 3 a 0)
B: a STD_LOGIC_VECTOR (de 3 a 0)
Cin: a STD_LOGIC
S: fora STD_LOGIC_VECTOR (de 3 a 0)
Cout: fora STD_LOGIC)
final Ripplecarryadder

architecture Comportament de Ripplecarryadder is - Full Adder VHDL Code Component Declaration
component full_adder_vhdl_code
Port (A: a STD_LOGIC
B: a STD_LOGIC
Cin: a STD_LOGIC
S: fora STD_LOGIC
Cout: fora STD_LOGIC)
component final

- Declaració de transport intermedi
Senyal c1, c2, c3: STD_LOGIC

començar

- Sumador complet de mapatge de ports 4 vegades
FA1: full_adder_vhdl_code port mapa (A (0), B (0), Cin, S (0), c1)
FA2: full_adder_vhdl_code mapa de ports (A (1), B (1), c1, S (1), c2)
FA3: full_adder_vhdl_code mapa de ports (A (2), B (2), c2, S (2), c3)
FA4: full_adder_vhdl_code mapa de ports (A (3), B (3), c3, S (3), Cout)

final Conductual

Codi Verilog de Ripple Carry Adder

El codi Verilog és un llenguatge de descripció de maquinari. S’utilitza en circuits digitals a l’etapa RTL amb finalitats de disseny i verificació. A continuació es mostra el codi de registre d’aquest sumador de transport.

mòdul ripple_carry_adder (a, b, cin, sum, cout)
entrada [03: 0] a
entrada [03: 0] b
entrada cin
sortida [03: 0] suma
sortida de tall
filferro [2: 0] c
fulladd a1 (a [0], b [0], cin, suma [0], c [0])
fulladd a2 (a [1], b [1], c [0], suma [1], c [1])
fulladd a3 (a [2], b [2], c [1], suma [2], c [2])
fulladd a4 (a [3], b [3], c [2], suma [3], cout)
mòdul final
mòdul fulladd (a, b, CIN, suma, cout)
entrada a, b, cin
suma de sortida, cout
assigna suma = (a ^ b ^ cin)
assigna cout = ((a & b) | (b & cin) | (a & cin))

Aplicacions de Ripple Carry Adder

Les aplicacions per a la incorporació de sumadors inclouen el següent.

  • Aquests sumadors de transport s'utilitzen principalment a més de seqüències d'entrada de n-bits.
  • Aquests sumadors de transport són aplicables en el processament de senyals digitals i microprocessadors .

Avantatges de Ripple Carry Adder

Els avantatges de portar-sumador-ondulació inclouen els següents.

  • Aquest sumador de càrrega té un avantatge, ja que podem realitzar processos d'addició de seqüències de n bits per obtenir resultats precisos.
  • El disseny d’aquest sumador no és un procés complex.

Sumador de transport d'ondulacions és una alternativa quan els mitges sumadors i els sumadors complets no realitzen l'operació d'addició quan les seqüències de bits d'entrada són grans. Però aquí donarà la sortida de qualsevol seqüència de bits d'entrada amb cert retard. Segons els circuits digitals, si el circuit dóna sortida amb retard no serà preferible. Això es pot superar mitjançant un circuit de sumador de carry-forward.